JOP - Java Optimized Processor

Das Forum für die Software der FPGA-Basisplatine
Antworten
Viviatis
kann c't-Lab-Module umbauen
kann c't-Lab-Module umbauen
Beiträge: 67
Registriert: 29.11.2007, 13:04
Wohnort: Berlin

JOP - Java Optimized Processor

Beitrag von Viviatis »

Hallo Leute,

ich bin da die letzten Tage auf ein interessantes Thema gestoßen: JOP - Java Optimized Processor

Frage: Könnte man vielleicht auch unser FPGA zu einer kleinen Java-Maschine umfunktionieren? Das Projekt scheint mir sehr vielversprechend und ist vor allen Dingen freie Software.

Mein Hintergrund ist da eher beruflicher Natur, weil ich gerne eine in PASCAL vorhandene Auslegungssoftware für Kälteverdichter in Java umschreiben möchte und
diese dann auch auf dem FPGA laufen lassen möchte. Ziel soll ein Service-Modul werden, was parallel zur Steuerung (S7) die jeweiligen thermodynamischen Betriebsbedingungen aus den Ist-Daten des Aggregates neu berechnet und (z.B. über das Web) den Service über den Stand der Anlage beim Kunden informiert, wenn die Simulation kritische Abweichungen ermittelt.

Ich befinde mich noch im Stadium des "Brainstorming"..... ;-)

Gruß

Frank
Benutzeravatar
Marcel
kann c't-Lab-Module umbauen
kann c't-Lab-Module umbauen
Beiträge: 91
Registriert: 31.12.2008, 17:26
Wohnort: Siegen

Re: JOP - Java Optimized Processor

Beitrag von Marcel »

das klingt sehr interessant. Aufgrund meiner mangelnden erfahrung mit FPGAs unterschätze ich immerwieder was die dinger so alles können
Stolzer Besitzer eines c`t-Lab:

1x 19" Gehäuse von Segor [ 100% ]
1x IFP ohne LAN [ 100% ]
2x DCG/DCP 16bit [ 100% ]
Viviatis
kann c't-Lab-Module umbauen
kann c't-Lab-Module umbauen
Beiträge: 67
Registriert: 29.11.2007, 13:04
Wohnort: Berlin

Re: JOP - Java Optimized Processor

Beitrag von Viviatis »

Hallo Leute,

ich habe mich die Tage weiter mit dem Thema beschäftigt und mich auch im JOP-Forum von Martin Schoeberl (der hat das "erfunden") umgehört.
Ergebnis lt. Martin: Es "sollte" funktionieren.

Ich habe ich mir die notwendige Toolchain aufgebaut und bin bis zum Erzeugen eines bit-file gelangt, das ich auch hochladen konnte.
Das FPGA sagt dann allerdings: ConfFail BitCount

Nunja, ich hatte es nicht unbedingt erwartet, das das auf Anhieb funktioniert. Martin Schoeberl hat JOP vorwiegend für Altera-FPGA's entwickelt und einige fleißige Mitstreiter haben es dann auf das XILINX Spartan 3 Starter-Kit portiert. JOP ist daher für Spartan3-FGPA's mit einem xc3s200-5ft256 modifiziert worden. Andere XILINX Boards sind auch lauffähig, dabei handelt es sich aber um Spartan 3Es und Virtex-FPGAs.

Trotzdem glaube ich, das mein Unterfangen im Laufe der Zeit Früchte tragen könnte.

Für Interessierte hier nun eine kurze Anleitung, abgeleitet aus http://www.jopwiki.com/Getting_started:

1. Cygwin installieren
2. Java compiler und runtime installieren
3. Quarts II Web Edition installieren (ähnlich wie ISE nur für Altera; wird nur benötigt, um die make-Routinen komplett auszuführen; Installation ist online)
4. Path Variablen für Windows anpassen für die drei vorgenannten Programme
5. In einem DOS-Fenster folgende Befehle ausführen:

-

Code: Alles auswählen

git clone git://www.soc.tuwien.ac.at/jop.git
Hiermit werden die aktuellen JOP-Quellen in ein Verzeichnis C:\JOP geladen. Dann nach C:\JOP wechseln und die folgenden Befehle eintippen:

Code: Alles auswählen

make directories

Code: Alles auswählen

make tools

Code: Alles auswählen

make jopser

Code: Alles auswählen

make japp
Das war schon fast alles! Jetzt nur noch mit ISE das JOP-Projekt unter C:\JOP\xilinx\s3sk mit Old Project Files (*.npl) öffnen und in ein ISE project file umwandeln.
Das geht automatisch und ohne Probleme.

6. Dann im Hierarchy-Fenster von ISE jop-rtl (jop_xs3.vhd) markieren
7. und im unteren Fenster unter "Configure Target Device" Generate Target PROM/ACE File doppelklicken

Nach der Synthese ist nun im Verzeichnis C:\jop\xilinx\s3sk ein fertiges BIT-File für unsere SD-Karte :lol:

Und hier verließen sich mich....

Vielleicht ist jetzt doch jemand interessiert, aus dem FPGA eine kleine Java-Maschine zu machen? @magicroomy: Wie wäre das?

Wäre vielleicht noch ein ISE-Spezialist an Board, der das Problem mit dem Portieren auf die Reihe kriegt?

Viele Grüße aus Berlin

Frank
magicroomy
kann c't-Lab-Module konstruieren
kann c't-Lab-Module konstruieren
Beiträge: 205
Registriert: 01.12.2007, 09:23

Re: JOP - Java Optimized Processor

Beitrag von magicroomy »

Java auf dem FPGA wäre schon sehr interessant.
Im Moment bin leider zeitlich etwas angebunden => ich könnte die Sache nicht voranbringen. :-(
Antworten